rename topics/week7_eval_cl.mdwn to topics/week7_eval_combinatory.mdwn
[lambda.git] / exercises / assignment5_hint4.mdwn
2015-03-08 jimsimplify Haskell
2015-03-08 jimadd comments about Haskell
2015-03-08 jimtweak explanation
2015-03-08 jimadd more about numbers
2015-03-08 jimcreate page